Error when launching "Vivado"

Launcher time out


위와 같은 Error로 Xilinx vivado가 열리지 않는 경우,

project path에 들어가면 안되는 문자가 들어가 있는 경우 일 수 있다.


path에 포함되면 Error를 일으키는 문자: 괄호( )



'Programming > Verilog' 카테고리의 다른 글

AXI Register Slice  (0) 2020.02.12
Verilog Generate  (2) 2018.07.05
Xilinx bootgen  (0) 2017.06.21
Xilinx SDK disable cache  (0) 2017.06.12
Xilinx Vivado IP import  (0) 2017.05.31

+ Recent posts